Pandocréon Carta Genius

Quick Guide


var($var)
Return a variable which name is given as parameter.

string($var)
Cast a variable or an expression to string.

scalar($var)
Cast a variable or an expression to scalar.

value($var)
Cast a variable or expression to value.

element($var)
Cast a variable or expression to element.

bool($var)
Cast a variable or expression to boolean.

eq($var1, $var2)
Means $TRUE if both parameters are equals.
The two expressions must be of the same type.

lt($var1, $var2)
Means $TRUE if the first parameter if less than the second.
The two expressions must be of the same type.

gt($var1, $var2)
Means $TRUE if the first parameter if greater than the second.
The two expressions must be of the same type.

not($bool)
Invert the parameter boolean value.

and($bool1, $bool2)
Returns $TRUE if both parameters are true.

or($bool1, $bool2)
Returns $TRUE if one parameter is true.

plus($var1, $var2)
Add two variables.

minus($var1, var2)
Substract a variable to another one.

mult($var1, $var2)
Multiply two variables.

div($var1, $var2)
Divide a variable by another one.

mod($var1, $var2)
Compute the modulus of a variable by another one.

sqrt($var)
Compute the square root of a variable.

pow($var1, $var2)
Compute the value of a variable raised to the power of another one.

sin($scal)
Return the sine of a scalar (scalar).

cos($scal)
Return the cosine of a scalar (scalar).

tan($scal)
Return the tangent of a scalar (scalar).

asin($scal)
Return the arc-sine of a scalar (scalar).

acos($scal)
Return the arc-cosine of a scalar (scalar).

atan($scal)
Return the arc-tangent of a scalar (scalar).

width($elem)
Return the width of an element (value).

height($elem)
Return the height of an element (value).

landscape($elem)
Return an element which width and height are inverted from the one given as parameter.

strlen($str)
Return the length of a string.

strwidth($str, $val, $str)
Return a value equal to a string width, depending of the font and characters size.

min($var1, $var2)
Return a variable equal to the minimal variable given as parameter.

max($var1, $var2)
Return a variable equal to the maximal variable given as parameter.

time(scalar)
Return an Unix timestamp (number of seconds since 00:00:00 UTC, January 1, 1970). The number given in parameter is a time-lag (positive or negative number of hours) ; set it to zero if you want current GMT time.

strftime($scal, $str)
Format a character string from an Unix timestamp. Conversion specifiers could be: (extracted from strftime man page)

srand(scalar)
Initialize the random number generator. The parameter is the generator seed. Return a random number between 0 and 1.

rand(scalar)
Return a random number between zero and the number given as parameter.



Copyright (c) 2004-2005, Amaury Bouchard
This documentation is placed under the terms of the GNU Free Documentation License